+353-1-416-8900REST OF WORLD
+44-20-3973-8888REST OF WORLD
1-917-300-0470EAST COAST U.S
1-800-526-8630U.S. (TOLL FREE)

Results for tag: "VHSIC Hardware Description Language"

FPGAs: World Class Designs - Product Thumbnail Image

FPGAs: World Class Designs

  • Book
  • March 2009
  • Global
  • 6 Results (Page 1 of 1)
Loading Indicator

The VHSIC Hardware Description Language (VHDL) is a hardware description language used in electrical engineering to describe digital and mixed-signal systems. It is used to design, simulate, and document electronic systems. VHDL is a powerful language for describing complex digital systems, and is used in a wide range of applications, from ASIC and FPGA design to system-on-chip (SoC) design. VHDL is also used in the development of embedded systems, such as microcontrollers and digital signal processors. VHDL is a standard language, and is supported by a wide range of vendors, including Altera, Xilinx, Mentor Graphics, Synopsys, Cadence Design Systems, and Microsemi. These companies provide tools and services for the development of VHDL-based designs, including compilers, simulators, and synthesis tools. Additionally, there are a number of open source tools available for VHDL development. Show Less Read more