+353-1-416-8900REST OF WORLD
+44-20-3973-8888REST OF WORLD
1-917-300-0470EAST COAST U.S
1-800-526-8630U.S. (TOLL FREE)

Critical Materials Report-Precursors-ALD High K Metals

  • Report

  • 142 Pages
  • June 2019
  • Region: Global
  • Techcet
  • ID: 4900595
Provides market and technical trend information on organic and inorganic precursors, addressing CVD, ALD applications including high κ metal-oxides, barrier layers, metal interconnects, and capping layers, among others. Provides focused information for supply-chain managers, process integration and R&D directors, as well as business development and financial analysts. Covers information about key suppliers, issues/trends in the material supply chain, estimates on supplier market share, and forecast for the material segments

Table of Contents

1 Executive Summary
1.1 Highlight Material Segment Business Trends (M&A, plant closures, new suppliers, etc.)
1.2 Highlight Material Segment Technology Trends
1.3 5-Year Material Segment Forecast
1.4 EHS/Logistics
1.5 Concludes with analyst assessment of the covered materials market
2 Scope
2.1 Scope
2.2 Purpose
2.3 Methodology
3 Market Outlook
3.1 Worldwide Economy
3.2 Electronic Goods Market
3.3 IT / Data Systems
3.4 Smart Phone Market
3.5 PC Computers
3.6 Automotive
3.7 Semiconductor Device Outlook
3.8 Equipment Spending and Fab and Capital Investments
3.9 MEMS & Legacy Devices
3.10 Wafer Start Forecast
3.11 Overall China Market News and Trends
3.12 Semiconductor Market Overview Summary
4 Material Segment Trends
4.1 Fab Material Supply/Demand
4.2 Identify raw material shortages and supply chain constraints
4.2.1 Tungsten
4.2.2 Tantalum (and Niobium)
4.2.3 Zirconium & Hafnium
4.2.4 Cobalt
4.2.5 Ruthenium and Platinum Group Metals (PGM)
4.2.6 Rare Earth
4.3 Technical drivers/material change & transitions
4.3.1 Material trends for the leading-edge
4.3.2 Multi-patterning & EUV Lithography
4.4 Interconnect Trends
4.4.1 Copper Interconnect
4.4.2 Cobalt interconnects, liners, and caps
4.4.3 Ruthenium Interconnects
4.4.4 Manganese Barrier Metal
4.5 Logic Transistor Evolution
4.5.1 5 nm and beyond
4.6 Extending FinFET to Horizonal Nanowires GAA FETs
4.7 Realizing vertical Logic - Going vertical (2.5/3D)
4.8 Memory Evolution & Future Trends
4.8.1 DRAM
4.8.2 2D to 3D NAND transition
4.8.3 Trends/impact/status of legacy materials
4.9 Comment on Regional trends/drivers
4.10 EHS and, if possible, Logistics issues*
4.11 Tungsten
4.12 Titanium
4.13 Zirconium and Hafnium
4.14 Cobalt
4.15 Ruthenium
4.16 Changes in standard packaging/valve types
5 Supplier Market Landscape
5.1 M&A Activity
5.1.1 Linde-Praxair
5.1.2 DowDuPont
5.1.3 Versum Materials takeover deal by Merck KGaA
5.1.4 MPD Chemicals acquires Norquay Technology
5.2 New plants/New entrants
5.3 Identify recently closed plants or ”to be” closed plants
5.4 New entrants
5.4.1 Adekas´new liquid Yttrium precursor
5.4.2 Strem Chemicals’ offers new La-FMD ALD precursor for future leading-edge logic and memory products
5.4.3 RASIRC : Effective Silicon and Metal Nitride Deposition at Reduced Temperature using Brute Hydrazine
5.4.4 Thermal ALD of Aluminum for Metal Gates/ Electrodes
5.4.5 Cobalt, Copper, and Iron Amidinate- Liner/Interconnect .
5.4.6 Cobalt CVD Precursor - Cosine™
5.4.7 Ruthenium Precursors - Selective Deposition
5.5 Suppliers or parts/product lines that are at risk of discontinuance or capacity reduction
5.6 Materials Market Size & Forecast
5.6.1 Metal and High-? ALD/CVD precursors
5.6.2 Market Shares and Regional Shares
6 Sub-tier Material Supply Chain
6.1 Raw Material Pricing trends/Price points, if easily available*
6.1.1 By material segment (using targets for example, Ta, Ti, W, etc.)
6.1.1.1 Tungsten
6.1.1.2 Tantalum
6.1.1.3 Titanium
6.1.1.4 Aluminium
6.1.1.5 Zirconium and Hafnium
6.1.1.6 Cobalt
6.1.1.7 Ruthenium
6.1.2 Pricing trends and/or forecast
6.1.3 Analyst Assessment and outlook for raw materials supply-chain issues
7 Supplier Profiles
  • Appendix 1: Copper Interconnect History & Status
  • Appendix 2: Fundamentals of thin film deposition by CVD, ALD, and SOD, and ASD and ALE
7.1 Chemical Vapor Deposition - CVD
7.2 Atomic Layer Deposition - ALD
7.3 Spin-on Dielectrics (SOD)
  • Appendix 3: Acronyms
List of Figures
Figure 1 Wafer Starts by Technology Node and Device Type - 2/2019 modified to show the high growth nodes concerning ALD, CVD, and SOD.
Figure 2 : Metal and High-? Precursor Market Shares by Precursor Type Estimates
Figure 3 Dielectric Precursor Market Shares by Precursor Type Estimates for 2019 & 2024.
Figure 4 2018 Global Economy and the Electronics Supply Chain
Figure 5 Worldwide Semiconductor Sales
Figure 6 Global Purchasing Managers Index
Figure 7 Global Electronics Production Annual Growth
Figure 8 Global Electronics Production Annual Growth
Figure 9 Automotive Electronic Content Growth
Figure 10 Automotive Semiconductor Market Growth
Figure 11 2019 Semiconductor Revenue Growth Forecasts
Figure 12 2019 Semiconductor Market Size by Device Segment
Figure 13 Semiconductor Device Unit Growth
Figure 14 200 mm Fab Capacity Outlook to 2022
Figure 15 Wafer Starts by Technology Node and Device Type - 2/2019
Figure 16 China IC Production Share and Consumption Trends
Figure 17 Metal precursor revenue 2014 to 2024 (Forecast)
Figure 18 IC Technology Roadmap Evolutions and Revolutions
Figure 19 Process and materials changes required to shrinking logic and memory devices.
Figure 20 Double patterning by increases density so called LELE for “Litho-Etch-Litho-Etch.
Figure 21 Self-aligned quadruple patterning (SAQP).
Figure 22 Hardmask and Relationship to Pattern Collapse
Figure 23 Dimensional scaling under pressure
Figure 24 EPE is the difference between the intended and the printed features of an IC layout. Shrinking dimensions exacerbate EPE issues
Figure 25 The innovative copper metallization technique by IBM from 1997 produced a chip with six layers of copper circuitry with circuit line widths of 0.20 microns
Figure 26 Extending copper requires co-optimization of ALD barriers
Figure 27 Introduction of Co CVD encapsulation and transition to Cobalt contacts and local interconnects.
Figure 28 Intel Interconnect stack.
Figure 29 10nm via structure filled with cobalt shows no seams
Figure 30 Apple A11 resp. A12 fabricated using TSMC 10 res. 7 nm showing the introduction of Co contacts (blue) with TiN barrier at 7 nm (Apple A12)
Figure 31 Applied Materials PVD/ALD/CVD-deposition solution for Cobalt fill
Figure 32 Replacement of Thick TaN with Thin ALD MgSi
Figure 33 The evolution of High-? / Metal Gate Transistors, from planar 45 nm to the 14 nm node
Figure 34 Comparison of CMOS Transistor used today. (A) Planar, (B) FD-SOI and (C) FinFET
Figure 35 Possible uses for dielectrics in state of the art 10 nm FinFET Technology
Figure 36 Logic Process nodes compared
Figure 37 Logic Technology Industry Roadmap (TechInsight, January 2018)
Figure 38 After the introduction at 22 nm by Intel a taller fin height and narrower fin width leads to more vertical profile in 14 nm and 10 nm
Figure 39 Leading-edge Logic Wafer Starts, historical and forecasted
Figure 40 Air Spacers Used Between Gates and Contacts
Figure 41 A Gate-all-around FET that could come into play at 5 or 3 nm
Figure 42 Imec CMOS Roadmap
Figure 43 Imec has achieved the CMOS integration of vertically stacked gate-all-around (GAA) silicon nanowire MOSFETs
Figure 44 Three principal Cross-section simulation of (a) finFET, (b) nanowire, and (c) nanosheet
Figure 45 TEM cross section of 5nm-node GAA-FETs by IBM, Samsung and GlobalFoundries
Figure 46 Leti roadmap for introducing monolithic 3D Logic scaling at 5 nm
Figure 47 Stacking FinFETs on FinFETs
Figure 48 DRAM nodes by the top 3 companies, Samsung, SK Hynix and Micron (Elpida, Nanya) compared with Winbond and the Chinese DRAM manufactures
Figure 49 The implications of the transition to 3DNAND, less dielectrics for multiple patterning but more for the multilayer stack as well as etch hardmasks (Applied Materials)
Figure 50 Transition from 2D to 3DNAND
Figure 51 NAND Technology Roadmap
Figure 52 Wafer forecast for XPoint memory
Figure 53 Ferroelectric hafnium oxide by ALD can be integrated in 3D capacitors (FRAM) in BEOL as well as in a FEOL HKMG stack (FeFETs)
Figure 54 An overview of emerging memory technologies that has been announced by the major players.
Figure 55 Introduction of High-? in high volume production
Figure 56 Adeka yttrium precursor Y-5000
Figure 57 La-FMD is a promising metal-amidinate ALD precursors for lanthanum (La) based ALD thin-films which are potentially strong candidates for high-? gate dielectric in the next generation of CMOS technology
Figure 58 TiNx grown with Brute Hydrazine at 300°C gives comparable resistivity to TiNx grown with NH3 at 400°C.
Figure 59 Atomic Layer Deposition of Aluminum Metal Films Using a Thermally Stable Aluminum Hydride Reducing Agent.
Figure 60 Metal amidinate compounds to include cobalt, copper, and iron analogs
Figure 61 Cobalt CVD precursor Cosine™
Figure 62 Area selective ALD of Ru metal on metal
Figure 63 Ru-precursors introduced by TANAKA and their roadmap for Logic
Figure 75 Metal and High-? Precursor Market Shares by Precursor Type Estimates
Figure 76 ALD/CVD High-? & Metal Precursor Market Revenue Estimates 2015 to 2024 (11% CAGR)
Figure 79 Metal and dielectric precursor market shares 2018-2019
Figure 80 2018 WW Market Shares Metal Precursor Suppliers Estimate s 2017/2018
Figure 81 2018 Regional Market Share - Metal Precursor Shipments
Figure 82 2018 WW Market Shares Dielectric Precursor Suppliers Estimate
Figure 83 2018 Regional Market Shares - Dielectric Precursor Shipments 2018-2019
Figure 84 Tungsten Price Trends (red dots) and inflation-adjusted (blue triangles)
Figure 85 Tantalum Ore Prices
Figure 86 Aluminum metal price
Figure 87 Hafnium and Zirconium Mineral Processing & Extraction
Figure 88 Hafnium supply estimates 2016
Figure 89 Cobalt metal price
Figure 90 Ruthenium price
Figure 91 : The copper damascene and dual damascene process for copper interconnects
Figure 92 : The fundamental differences between continuous, pulsed and atomic layer processing.
Figure 93: CVD vs. Spin on Deposition Processes
List of Tables
Table 1 Global GDP and Semiconductor Revenues
Table 2 IMF World Economic Outlook
Table 3 World Bank GDP Forecast
Table 4 Worldwide IT Spending Forecast (Billions of U.S. Dollars)
Table 5 Smartphone Vendor Shipments (Millions of Units)
Table 6 VLSI Research Semiconductor Revenue by Segment
Table 7 2019 Semiconductor Equipment Outlook
Table 8 Top IDM and Foundry Capex Spenders
Table 9 2019 Investment Plans for Selected Device Companies
Table 10 World Tungsten Production & Reserves (metric tons)
Table 11 World Niobium Production and Reserves (metric tons)
Table 12 Tantalum Mine Production and Reserves (metric tons)
Table 13 World’s Zirconium Ores and Concentrates (including Hafnium) Mine Production and Reserves (thousands of metric tons)
Table 14 Worldwide Cobalt Mine Production and Reserves (metric tons)
Table 15 World resources of PGM Mine Production & Reserves (Kilograms)
Table 16 Rare Earths WW Mine Production and Reserves (metric tons)
Table 17: OEM Tool Sets for Sub-5 nm Logic devices
Table 18 : Critical thermal budget steps summary in a planar FDSOI integration and 3D CoolCube process for top FET in 3DVLSI
Table 19 Assessment of China need for advanced ALD/CVD precursors
Table 20 Overview of ALD OEMs supplying 200 mm tools
Table 21 Market Segmentation Categories

Methodology

The author employs subject matter experts having first-hand experience within the industries which they analyze. Most of the analysts have over 25 years of direct and relevant experience in their field. Our analysts survey the commercial and technical staff of IC manufacturers and their suppliers, and conduct extensive research of literature and commerce statistics to ascertain the current and future market environment and global supply risks. Combining this data with the author’s proprietary, quantitative wafer forecast results in a viable long-term market forecast for a variety of process materials.

 

Loading
LOADING...