+353-1-416-8900REST OF WORLD
+44-20-3973-8888REST OF WORLD
1-917-300-0470EAST COAST U.S
1-800-526-8630U.S. (TOLL FREE)

EUV Lithography - Market Share Analysis, Industry Trends & Statistics, Growth Forecasts 2019 - 2029

  • PDF Icon

    Report

  • 120 Pages
  • February 2024
  • Region: Global
  • Mordor Intelligence
  • ID: 5120121
The EUV Lithography Market size is estimated at USD 10.34 billion in 2024, and is expected to reach USD 17.81 billion by 2029, growing at a CAGR of 11.5% during the forecast period (2024-2029).

EUV lithography makes the usage of light with a wavelength of just 13.5 nm, which is a reduction of almost 14 times the wavelength of the other lithography techniques in advanced chipmaking, Deep Ultraviolet lithography, which uses 193 nm light. ASML, which is the dominant player in the market, has been exploring ways to reduce transistor sizes and has made considerable strides in the field of EUV lithography, which enables more precise and efficient production of semiconductors at small transistor scales around 7nm node size or even 5nm.

Key Highlights

  • As semiconductor geometries tend to grow smaller and smaller, the adoption of EUV lithography technology has become extremely important, as it enables scaling down of intricate patterns on wafers by providing an optimal and efficient choice for next-generation applications, including 5G, AI, and Automotive. EUV technology allows the chip makers to keep driving chip scaling as the shorter wavelength of EUV light is capable of printing the nanometer-scale features of the designs related to the advanced techniques.
  • TSMC's Extreme Ultraviolet (EUV) tools are expected to reach production maturity, with tool availability already reaching target goals for high-volume production and output power of greater than 250 watts for daily operations. Chipmakers are banking on EUV at 7nm, 5nm, and beyond for leading-edge logic, and today there are no other options available. The next-generation lithography technologies are not ready and can not be applied at 7nm and 5nm scales. At 3nm and beyond, chipmakers hope to use high-NA EUV, but several challenges have yet to be overcome in developing this technology.
  • TSMC has also been planning to start the volume production of the 3-nm products by 2022. Samsung Electronics Co. Ltd, another major player in the market, aims to overtake TSMC by 2030 with its extreme ultraviolet (EUV) lithography technology. TSMC, previously in Dec 2019, announced that the company would be starting to supply 5 Nm process-based chips in the first half of 2020 and will be starting mass production of 3-nm process chips in 2022. The company also anticipates producing 2-nm process products by 2024.
  • ASML faced difficulty exporting its equipment due to COVID-19, negatively affecting major global semiconductor producers, including Samsung Electronics and TSMC. A delay in the company's equipment delivery is forcing the two companies to change their strategic development and production roadmaps. Due to a delay in the delivery of the company's equipment, the two companies have to alter their strategic development and production plans. TSMC has postponed the test production of 3-nm semiconductors. Samsung Electronics, meantime, had hoped to commence commercial production of 5-nm semiconductors in 2020 but was unable to do so until late 2021.

EUV Lithography Market Trends

Foundry is Expected to Hold Major Share

  • TSMC is planning to dominate the global foundry market by starting the volume production of 3-nm products in 2022. Samsung Electronics Co, Ltd, the runner-up in the market, is aiming to overtake TSMC in 2030 with its extreme ultraviolet (EUV) lithography technology. TSMC announced in December 2019 that the company would start to supply 5-nm process-based chips in the first half of 2020 and start the mass production of 3-nm process chips in 2022. The company also expects o produce 2-nm process products in 2024.
  • Samsung is facing a series of challenges in the market. For instance, the supply of photoresists for EUV lithography purposes can be subject to Japan's export restrictions. Besides, a significant number of companies are trying to compete with Samsung Electronics. Chinese and Taiwanese semiconductor companies are increasingly collaborating. Samsung Electronics has unveiled a series of new microfabrication techniques such as FinFET, gate-all-around, and multi-bridge-channel FET to compete with the increasing competitors.
  • In February 2021, Samsung Foundry filed documents with authorities in Arizona, New York, and Texas seeking to build a leading-edge semiconductor manufacturing facility in the United States. The potential fab near Austin, Texas, is expected to cost over USD 17 billion and create 1,800 jobs. If everything goes as planned, the foundry will go online by the fourth quarter of 2023. There is an intrigue about the new fab, though the company did not state which process node it will be designed for.
  • Vendors, such as Taiwan Semiconductor Manufacturing Co. (TSMC) and United Microelectronics Corp. (UMC), announced that they have been focusing on relocating their production to meet the demand from automakers, such as Volkswagen and Toyota, among others. In addition, the demand coming from companies, such as Qualcomm and Apple, has been creating delays in the supply of semiconductors. According to a new survey by Automotive News (April 2021), 53% of the respondents suggested that they source their chips from outside the United States, and 55% of the manufacturers have been looking for alternative chip sources outside the country.
  • Such factors have been leading to the entry of new companies into the market studied. For instance, recently, Intel announced the launch of a new business Intel Foundry Services, to meet the demand for automotive manufacturers. Similarly, in March 2021, Global Foundries announced that it has been planning to invest USD 1.4 billion for capacity expansion in different parts of the world to support automakers cutting output, such as Ford, Volkswagen, and General Motors.


Asia Pacific is Expected to Hold Major Share

  • The Asia Pacific continues to hold the largest revenue share in the global market for Extreme Ultraviolet (EUV) lithography. Taiwan is expected to have an edge in the region over the forecast period. TSMC's expansion in Taiwan and its increasing investments in the EUV lithography technology is among the most critical factors instrumental in the growth of the Extreme Ultraviolet lithography market in Taiwan. The country accounts for the majority revenue share of the market for EUV lithography in the Asia Pacific region. Apart from Taiwan, the Extreme Ultraviolet lithography markets in Japan, China, and South Korea are expected to create significant opportunities for stakeholders in the coming years.
  • Chinese semiconductor makers are also utilizing used chipmaking machines as they rush to produce homegrown products amid US-Sino trade tensions, driving up equipment prices in Japan's secondary market. Japanese used equipment dealers say prices are up by 20% from last year. Older-generation machines are not restricted by the United States sanctions on China, giving Chinese players unfettered access.
  • The stay-at-home trend spurred by the coronavirus pandemic is also a factor. As chip demand rises worldwide, even equipment that is not the most up-to-date is selling at a brisk pace. This, in turn, could prolong the shortage of semiconductors used in automobiles.
  • ASML's new training complex will likely be beneficial to both companies. While the firm is Europe's largest semiconductor machinery brand, the Taiwanese manufacturer is its biggest customer. Asian Nikkei Review states that 20 of the 35 EUV systems ASML intends to ship this year are going to TSMC. The Dutch concern is setting up future sales by familiarizing more of the chipmaker's staff with its tools. ASML also plans on opening a new research and development facility in Taiwan, which will bring its local headcount over 500 by 2023.


EUV Lithography Industry Overview

The Extreme Ultraviolet Lithography Market is highly consolidated as ASML is the only manufacturer of lithography machines that use extreme ultraviolet light. The company manufactures and sells its tools to some global semiconductor fabricators, including Intel, Samsung, and Taiwan Semiconductor Manufacturing Company (TSMC). Almost 25% of the company's revenues are generated by sales of EUV lithography systems, which reflects the company's monopoly in the manufacturing and commercialization of EUV lithography systems.
  • December 2021: ASML, a hot stock in Europe, is working on a new version of their extreme ultraviolet lithography equipment, which is used to carve patterns into chunks of silicon that produce the world's most sophisticated processors. Samsung, TSMC, and Intel use the company's current EUV equipment to create chips for the next generation of computers and smartphones.
  • March 2021: Samsung is increasing its EUV scanner production to compete with TSMC, the world's largest foundry. EUV scanners, unlike traditional machines, may streamline the chip fabrication process by lowering the number of photolithography procedures necessary to generate finer circuits, causing major chipmakers to compete for the technology.

Additional Benefits:

  • The market estimate (ME) sheet in Excel format
  • 3 months of analyst support


This product will be delivered within 2 business days.

Table of Contents

1 INTRODUCTION
1.1 Study Assumptions and Market Definition
1.2 Scope of the Study
2 RESEARCH METHODOLOGY3 EXECUTIVE SUMMARY
4 MARKET INSIGHTS
4.1 Market Overview
4.2 Industry Value Chain Analysis
4.3 Industry Attractiveness - Porter's Five Forces Analysis
4.3.1 Bargaining Power of Suppliers
4.3.2 Bargaining Power of Buyers
4.3.3 Threat of New Entrants
4.3.4 Threat of Substitute Products
4.3.5 Intensity of Competitive Rivalry
4.4 Assessment of COVID-19 Impact on the Industry
5 MARKET DYNAMICS
5.1 Market Drivers
5.1.1 The technology resulting in a faster output of microchips compared to others
5.1.2 Chip makers reducing the manufacturing process for better efficiency
5.2 Market Restraints
5.2.1 High cost involved in replacing the existing Deep UV with EUV lasers
5.2.2 Monopoly existing within the market
5.3 Technology Snapshot
6 MARKET SEGMENTATION
6.1 Product Type
6.1.1 Light Sources
6.1.2 Mirrors
6.1.3 Masks
6.2 Type
6.2.1 Foundry
6.2.2 Integrated Device Manufacturers (IDMs)
6.3 Geography
6.3.1 South Korea
6.3.2 Taiwan
6.3.3 Others
7 COMPETITIVE LANDSCAPE
7.1 Company Profiles
7.1.1 ASML Holding NV
7.1.2 NTT Advanced Technology Corporation
7.1.3 Canon Inc.
7.1.4 Nikon Corporation
7.1.5 Intel Corporation
7.1.6 Taiwan Semiconductor Manufacturing Company Limited
7.1.7 Samsung Electronics Co. Ltd
7.1.8 Toppan Photomasks Inc.
7.1.9 Ushio, Inc.
8 INVESTMENT ANALYSIS9 FUTURE OF THE MARKET

Companies Mentioned (Partial List)

A selection of companies mentioned in this report includes, but is not limited to:

  • ASML Holding NV
  • NTT Advanced Technology Corporation
  • Canon Inc.
  • Nikon Corporation
  • Intel Corporation
  • Taiwan Semiconductor Manufacturing Company Limited
  • Samsung Electronics Co. Ltd
  • Toppan Photomasks Inc.
  • Ushio, Inc.

Methodology

Loading
LOADING...